ПАРАМЕТРЫ ГАЗОВОЙ ФАЗЫ И КИНЕТИКА ТРАВЛЕНИЯ КРЕМНИЯ В ПЛАЗМЕ C6F12O + O2

  • Alexander M. Efremov Ивановский государственный химико-технологический университет
  • Vladimir B. Betelin ФГУ ФНЦ НИИСИ РАН
  • Kwang-Ho Kwon Korea University
Ключевые слова: C6F12O, CF4, плазма, параметры, активные частицы, ионизация, диссоциация, травление

Аннотация

В данной работе исследованы характеристики газовой фазы и кинетика реактивно-ионного травления кремния в плазме C6F12O + O2 с использованием смеси CF4 + O2 в качестве системы сравнения. Интерес к C6F12O обусловлен низким потенциалом глобального потепления (ПГП) и недостаточной изученностью в плане сухого травления материалов на основе кремния. Схема исследования включала диагностику плазмы с помощью зондов Ленгмюра и оптической эмиссионной спектроскопии, а также 0-мерное (глобальное) моделирование плазмы смеси CF4 + O2. Основное внимание уделялось параметрам электронной и ионной компонент плазмы, концентрациям атомов F и O (как основных активных частиц при травлении основного материала и фторуглеродной полимерной пленки, соответственно), а также скорости травления Si в зависимости от давления газа (4–12 мТорр) и вкладываемой мощности (200–600 Вт). Было показано, что обе системы демонстрируют одинаковое поведение плотности плазмы, потока ионов и энергии ионной бомбардировки, при этом основной особенностью плазмы C6F12O + O2 является систематически более низкие концентрации атомов F и O. Эксперименты по травлению показали: а) незначительный вклад физического распыления в общую скорость процесса; и б) идентичные изменения кинетики гетерогенной химической реакции в зависимости от параметров обработки. Плазма C6F12O + O2 характеризуется более низкими абсолютными скоростями травления (что коррелирует с различиями в потоках атомов F), а также более высокими эффективными вероятностями реакции Si + F. Последний эффект предположительно обусловлен гетерогенными процессами с участием атомов кислорода.

Литература

Nojiri K. Dry etching technology for semiconductors. Tokyo: Springer International Publ. 2015. 116 p.

Advanced plasma processing technology. New York: John Wiley & Sons Inc. 2008. 479 p.

Donnelly V.M., Kornblit A. Plasma etching: Yesterday, today, and tomorrow. J. Vac. Sci. Technol. 2013. V. 31. P. 050825-48. DOI: 10.1116/1.4819316.

Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley & Sons Inc. 2005. 757 p.

Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A. 2004. V. 22. P. 53-60. DOI: 10.1116/1.1626642.

Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism. J. Vac. Sci. Technol. A. 1999. V. 17. P. 26-37. DOI: 10.1116/1.582108.

Kastenmeier B.E.E., Matsuo P.J., Oehrlein G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A. 1999. V. 17. P. 3179-3184. DOI: 10.1116/1.58209.

Lele C., Liang Z., Linda X., Dongxia L., Hui C., Tod P. Role of CF2 in the etching of SiO2, Si3N4 and Si in fluoro-carbon plasma. J. Semicond. 2009. V. 30. P. 033005-1 (9). DOI: 10.1088/1674-4926/30/3/033005.

Lee J., Efremov A., Yeom G. Y., Lim N., Kwon K.-H. Application of Si and SiO2 Etching Mechanisms in CF4/C4F8/Ar Inductively Coupled Plasmas for Nanoscale Patterns. J. Nanosci. Nanotechnol. 2015. V. 15. P. 8340-8347. DOI: 10.1166/jnn.2015.11256.

Son J., Efremov A., Chun I., Yeom G. Y., Kwon K.-H. On the LPCVD-Formed SiO2 Etching Mechanism in CF4/Ar/O2 Inductively Coupled Plasmas: Effects of Gas Mixing Ratios and Gas Pressure. Plasma Chem. Plasma Proc. 2014. V. 34. P. 239-257. DOI: 10.1007/s11090-013-9513-1.

Muhle J., Ganesan A.L., Miller B.R. Perfluorocarbons in the global atmosphere: tetrafluoromethane, hexafluoroethane, and octafluoro-propane. Atmos. Chem. Phys. 2010. V. 10. P. 5145-5164. DOI: 10.5194/acp-10-5145-2010.

Tran-Quinn T., Lakritz M. Unsaturated fluorocarbons in the etching process, environmental benefit, technical hurdles. Proc. of 2008 IEEE/SEMI Adv. Semicond. Manufact. Conf., Cambridge, USA, 5-7 May 2008. P. 37-42. DOI: 10.1109/ASMC.2008.4529003.

Mocella M.T. PFC emission control options for plasma processing tools: A current Assessment. MRS Proc. 2011. V. 447. P. 29-34. DOI: 10.1557/PROC-447-29.

Krishnan N., Smati R., Raoux S., Dornfeld D. Alternatives to reduce perfluorinated compound (PFC) emissions from semiconductor dielectric etch processes: Meeting environmental commitments while minimizing costs. Proc. of IEEE Inter-nat. Symp. on Electronics and the Environment, Boston, USA, 19-22 May 2003. P. 19-24. DOI: 10.1109/ISEE.2003.1208041.

Lim N., Cho Y. S., Efremov A., Kwon K.-H. Dry Etching Performance and Gas-Phase Parameters of C6F12O + Ar Plasma in Comparison with CF4 + Ar. Materials. 2021. V. 14. P. 1595 (1-16). DOI: 10.3390/ma14071595.

Kimura T., Ohe K. Probe measurements and global model of inductively coupled Ar/CF4 discharges. Plasma Sources Sci. Technol. 1999. V. 8. P. 553-560. DOI: 10.1088/0963-0252/8/4/305.

Efremov A., Lee J., Kwon K.-H. A comparative study of CF4, Cl2 and HBr + Ar Inductively Coupled Plasmas for Dry Etching Applications. Thin Solid Films. 2017. V. 629. P. 39-48. DOI: 10.1016/j.tsf.2017.03.035.

Efremov A., Murin D., Kwon K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Charac-teristics of the Reactive-Ion Etching Process. Russ. Microe-lectron. 2020. V. 49. N 3. P. 157-165. DOI: 10.1134/S1063739720020031.

Efremov A., Murin D., Kwon K.-H. Plasma parameters, densities of active species and etching kinetics in C4F8+Ar gas mixture. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2019. V. 62. N 2. P. 31-37. DOI: 10.6060/ivkkt.20196202.5791.

Efremov A.M., Murin D.B., Kwon K.H. Plasma parameters and active species kinetics in CF4+C4F8+Ar gas mixture. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2018. V. 61. N 4-5. P. 31-36. DOI: 10.6060/tcct.20186104-05.5695.

Lopaev D.V., Volynets A.V., Zyryanov S.M., Zotovich A.I., Rakhimov A.T. Actinometry of O, N and F atoms. J. Phys. D: Appl. Phys. 2017. V. 50. P. 075202 (1-17). DOI: 10.1088/1361-6463/50/7/075202.

Efremov A., Lee J., Kim J. On the Control of Plasma Parameters and Active Species Kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios. Plasma Chem. Plasma Proc. 2017. V. 37. P. 1445-1462. DOI: 10.1007/s11090-017-9820-z.

Chun I., Efremov A., Yeom G. Y., Kwon K.-H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Films. 2015. V. 579. P. 136-143. DOI: 10.1016/j.tsf.2015.02.060.

Kimura T., Noto M. Experimental study and global model of inductively coupled CF4/O2 discharges. J. Appl. Phys. 2006. V. 100. P. 063303 (1-9). DOI: 10.1063/1.2345461.

Gray D.C., Tepermeister I., Sawin H.H. Phenomenological model-ing of ion-enhanced surface kinetics in fluorine-based plasma-etching. J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243-1257. DOI: 10.1116/1.586925.

A Simple Sputter Yield Calculator. https://www.iap. tuwien.ac.at/www/surface/sputteryield (10.10.2021).

Опубликован
2022-03-19
Как цитировать
Efremov, A. M., Betelin, V. B., & Kwon, K.-H. (2022). ПАРАМЕТРЫ ГАЗОВОЙ ФАЗЫ И КИНЕТИКА ТРАВЛЕНИЯ КРЕМНИЯ В ПЛАЗМЕ C6F12O + O2. ИЗВЕСТИЯ ВЫСШИХ УЧЕБНЫХ ЗАВЕДЕНИЙ. СЕРИЯ «ХИМИЯ И ХИМИЧЕСКАЯ ТЕХНОЛОГИЯ», 65(4), 30-38. https://doi.org/10.6060/ivkkt.20226504.6534
Раздел
ХИМИЯ неорганич., органич., аналитич., физич., коллоидная, высокомол. соединений

Наиболее читаемые статьи этого автора (авторов)

1 2 > >>