О СРАВНЕНИИ МЕХАНИЗМОВ РЕАКТИВНО-ИОННОГО ТРАВЛЕНИЯ SiO2 И Si3N4 В ПЛАЗМЕ HBr + Ar

  • Alexander M. Efremov Ивановский государственный химико-технологический университет
  • Vladimir B. Betelin ФГУ ФНЦ НИИСИ РАН
  • Kwang-Ho Kwon Korea University
Ключевые слова: HBr, плазма, параметры, активные частицы, ионизация, диссоциация, травление, кинетика, механизм

Аннотация

Исследовано влияние соотношения компонентов в смеси HBr + Ar на электрофизические параметры плазмы, стационарные концентрации активных частиц и кинетику реактивно-ионного травления (РИТ) SiO2 и Si3N4 в условиях индукционного ВЧ (13,56 МГц) разряда. Совместное использование методов зондовой диагностики и моделирования плазмы показало, что увеличение доли аргона при постоянном давлении газа и вкладываемой мощности а) приводит к увеличению температуры электронов и концентраций заряженных частиц; б) сопровождается увеличением интенсивности ионной бомбардировки обрабатываемой поверхности; и в) вызывает близкое к пропорциональному снижение концентрации и плотности потока атомов брома. Найдено, что изменения скоростей травления SiO2 и Si3N4 от состава смеси являются качественно подобными, при этом максимальные различия их абсолютных величин имеют место в плазме чистого HBr. Проведен анализ механизмов РИТ с использованием расчетных данных по плотностям потоков ионов и атомов брома. Установлено, что доминирующим механизмом травления SiO2 является ионно-стимулированная химическая реакция, скорость которой в диапазоне 0–80% Ar остается практически постоянной за счет увеличения эффективной вероятности взаимодействия. Соответственно, заметная интенсификация физического распыления с ростом доли Ar в смеси вызывает лишь слабый рост наблюдаемой скорости РИТ. Напротив, основной вклад в процесс травления Si3N4 вносит физическое распыление, при этом скорость ионно-стимулированной химической реакции ограничивается низкой вероятностью взаимодействия. Это обеспечивает как меньшие абсолютные значения скоростей травления (особенно в области малых содержаний аргона), так и более резкую зависимость скорости РИТ от состава смеси.

Для цитирования:

Ефремов А.М., Бетелин В.Б., Kwon K.-H. О сравнении механизмов реактивно-ионного травления SiO2 и Si3N4 в плазме HBr + Ar. Изв. вузов. Химия и хим. технология. 2023. Т. 66. Вып. 6. С. 37-45. DOI: 10.6060/ivkkt.20236606.6786.

Литература

Iwai H., Ohmi S. Silicon integrated circuit technology from past to future. Microelectron. Reliabil. 2002. V. 42. N 4–5. P. 465-491. DOI: 10.1016/S0026-2714(02)00032-X.

Nojiri K. Dry etching technology for semiconductors. Tokyo: Springer Internat. Publ. 2015. 116 p. DOI: 10.1007/978-3-319-10295-5.

Advanced plasma processing technology. New York: John Wiley & Sons Inc. 2008. 479 p.

Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. V. 1. Process Technology. New York: Lattice Press. 2000. 416 p.

Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley & Sons Inc. 2005. 757 p. DOI: 10.1002/0471724254.

Efremov A., Lee B. J., Kwon K.-H. On relationships between gas-phase chemistry and reactiveion etching kinetics for silicon-based thin films (SiC, SiO2 and SixNy) in multi-component fluorocarbon gas mixtures. Materials. 2021. V. 14. P. 1432(1-27). DOI: 10.3390/ma14061432.

Bestwick T.D., Oehrlane G.S. Reactive ion etching of silicon using bromine containing plasmas. J. Vac. Sci. Technol. A. 1990. V. 8. P. 1696-1701. DOI: 10.1116/1.576832.

Jin W., Vitale S.A., Sawin H.H. Plasma-surface kinetics and simulation of feature profile evolution in Cl2+HBr etching of polysilicon. J. Vac. Sci. Technol. 2002. V. 20. P. 2106-2114. DOI: 10.1116/1.1517993.

Pargon E., Menguelti K., Martin M., Bazin A., Chaix-Pluchery O., Sourd C., Derrough S., Lill T., Joubert O. Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists. J. Appl. Phys. 2009. V. 105. P. 094902. DOI: 10.1063/1.3116504.

Efremov A.M., Murin D.B., Betelin V.B., Kwon K.-H. Special Aspects of the Kinetics of Reactive Ion Etching of SiO2 in Fluorine-, Chlorine-, and Bromine-Containing Plasma. Russ. Microelectron. 2020. V. 49. N 2. P. 94-102. DOI: 10.1134/S1063739720010060.

Efremov A.M., Rybkin V.V., Betelin V.B., Kwon K.-H. On mechanisms of oxygen influence on gas-phase parameters and silicon reactiveion etching kinetics in HBr+ Cl2+ O2 plasma. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2019. V. 62. N 10. P. 76-83. DOI: 10.6060/ivkkt.20196210.6046.

Shun’ko E.V. Langmuir probe in theory and practice. Boca Raton: Universal Publ. 2008. 245 p.

Kwon K.-H., Efremov A., Kim M., Min N. K., Jeong J., Kim K. A model-based analysis of plasma parameters and composition in HBr/X (X=Ar, He, N2) inductively coupled plasmas. J. Electrochem. Soc. 2010. V. 157. N 5. P. H574-H579. DOI: 10.1149/1.3362943.

Efremov A., Lee J., Kwon K.H. A comparative study of CF4, Cl2 and HBr + Ar inductively coupled plasmas for dry etching applications. Thin Solid Films. 2017. V. 629. P. 39-48. DOI: 10.1016/j.tsf.2017.03.035.

Miakonkikh A., Kuzmenko V., Efremov A., Rudenko K. A comparison of CF4, CBrF3 and C2Br2F4 Plasmas: Physical parameters and densities of atomic species. Vacuum. 2022. V. 200. P. 110991 (1-7). DOI: 10.1016/j.vacuum.2022.110991.

Cunge G., Ramos R., Vempaire D., Touzeau M., Neijbauer M., Sadeghi N. Gas temperature measurement in CF4, SF6, O2, Cl2, and HBr inductively coupled plasmas. J. Vac. Sci. Technol. A. 2009. V. 27. P. 471-478. DOI: 10.1116/1.3106626.

Raju G.G. Gaseous electronics. Tables, Atoms and Mole-cules. Boca Raton: CRC Press. 2012. 790 p. DOI: 10.1201/b11492.

Christophorou L.G., Olthoff J.K. Fundamental electron interactions with plasma processing gases. New York: Springer Science+Business Media LLC. 2004. 776 p. DOI: 10.1007/978-1-4419-8971-0.

Efremov A., Murin D., Kwon K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process. Russ. Microelectron. 2020. V. 49. N 3. P. 157-165. DOI: 10.1134/S1063739720020031.

Lee J., Efremov A., Lee B.J., Kwon K.-H. Etching Characteristics and Mechanisms of TiO2 Thin Films in CF4 + Ar, Cl2 + Ar and HBr + Ar Inductively Coupled Plasmas. Plasma Chem. Plasma Process. 2016. V. 36. N 6. P. 1571-1588. DOI: 10.1007/s11090-016-9737-y.

Efremov A., Kim Y., Lee H.W., Kwon K.-H. A Comparative Study of HBr-Ar and HBr-Cl2 Plasma Chemistries for Dry Etch Applications. Plasma Chem. Plasma Process. 2011. V. 31. N 2. P. 259-271. DOI: 10.1007/s11090-010-9279-7.

Gray D.C., Tepermeister I., Sawin H.H. Phenomenological modeling of ion enhanced surface kinetics in fluorine-based plasma etching. J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243-1257. DOI: 10.1116/1.586925.

Vitale S.A., Chae H., Sawin H.H. Silicon etching yields in F2, Cl2, Br2, and HBr high density plasmas. J. Vac. Sci. Technol. A. 2001. V. 19. P. 2197-2206. DOI: 10.1116/1.1378077.

Tachi S., Okudaira S. Chemical sputtering of silicon by F+, Cl+, and Br+ ions: Reactive spot model for reactive ion etching. J. Vac. Sci. Technol. B. 1986. V. 4. P. 459-487. DOI: 10.1116/1.583404.

Опубликован
2023-05-03
Как цитировать
Efremov, A. M., Betelin, V. B., & Kwon, K.-H. (2023). О СРАВНЕНИИ МЕХАНИЗМОВ РЕАКТИВНО-ИОННОГО ТРАВЛЕНИЯ SiO2 И Si3N4 В ПЛАЗМЕ HBr + Ar. ИЗВЕСТИЯ ВЫСШИХ УЧЕБНЫХ ЗАВЕДЕНИЙ. СЕРИЯ «ХИМИЯ И ХИМИЧЕСКАЯ ТЕХНОЛОГИЯ», 66(6), 37-45. https://doi.org/10.6060/ivkkt.20236606.6786
Раздел
ХИМИЯ неорганич., органич., аналитич., физич., коллоидная, высокомол. соединений

Наиболее читаемые статьи этого автора (авторов)

1 2 > >>